Physical Design Engineer Interview Questions & Answers

10 Ways To Fix Setup and Hold Time Violations
What are the inputs of Physical design?
Why is Timing Analysis important in Physical Design?
What is the use of Shielding
Types of Variation in VLSI
Why do we use boundary cells?
How do you fix the static IR drop?
How to fix Dynamic IR drop?
Low Power Design Techniques Used in Physical Design
What is useful skew, local skew, and global skew?
Types of Cells for Physical Design
Explain all stages of Physical Design
Leakage Current in CMOS
What’s the difference between Design Rule Check (DRC) and Design for Manufacturability (DFM)?
DIBL GIDL BTBT and Tunneling Effect in CMOS Devices
What are the advantages of generating clocks internally?
List of Sanity Checks in Physical Design
Module Constraint Types: Guide, Fence, and Region
What are the inputs of LVS?
What is insertion delay?
Significance of Generated Clock and Virtual Clock
Why double via insertion?
What is the impact of IR drop in cell delay?
Antenna Effect in VLSI – Causes and Solution
What is metal slotting?
Sources of on-chip variation (OCV)
Temperature Inversion on Lower Nodes
How does the virtual clock help in the physical design process?
What is CMP (chemical mechanical polishing)?
What is the dishing effect?
What is the content in the .lib, .lef & .tlef files
What is metal fill insertion?
What is input and output delay?
What are the OCV & AOCV?
How to fix setup and hold violations at a time?
What is cross-talk?
What are DRC & how will you fix them?
How to fix setup and hold violations?
What is the use of placement blockage?
Can virtual clock analysis accurately predict the timing of the physical implementation?
What are clock gating and power gating?
Reasons for Metastability in VLSI
How can you reduce dynamic power?
What are the types of physical verification?
Why is the generated clock necessary in physical design?
What is temperature inversion?
What are metal ECO and Base ECO?
Process Variation in VLSI
Process Corners in VLSI
Types of violations in LVS?
How to fix Electromigration (EM)?
What is the Clock Period and Levels of the Clock
Interview questions for experienced Physical Design Engineer
What are Physical design inputs in detail?
What is Electro migration and how to reduce it?
Difference Between Clock Skew and Uncertainty
What is the difference between ASIC and FPGA?
How to fix Dynamic IR drop?
Which parameters decide the Spacing between Macros
What is Dynamic Power?
What are the inputs of LVS?
What are the inputs for synthesis?
How to decide channel width between macros?
What information is presented in DEF?
What is the flow of your project?
How to fix setup violations?
What is HVT, LVT, and ULVT cells?
What is the difference between crosstalk delay and crosstalk noise?

 

Related Posts

Analog and Memory Layout Design Forum
Physical Layout Desing Forum
RTL & Verilog Design Forum
Semiconductor Forum
Analog Layout Design Interview Questions Memory Design Interview Questions
STA Interview Questions Verilog Interview Questions
Digital Design Interview Questions
50+ Top Memory Layout Multiple Choice Questions with Answers
50+ Top Analog Layout Multiple Choice Questions with Answers
50+ Top Verilog Design Multiple Choice Questions with Answers
50+ Top Physical Design Multiple Choice Questions with Answers
50+ Top ASIC Flow Multiple Choice Questions with Answers
50+ Top Digital Design Multiple Choice Questions with Answers
50+ Top Combinational Circuits Multiple Choice Questions with Answers
50+ Top Logic Families Multiple Choice Questions with Answers   #
50+ Top VLSI Design Multiple Choice Questions with Answers

 

Physical Design Interview Questions

Share.

115 Comments

  1. Pingback: Why is Timing Analysis important in Physical Design? - siliconvlsi

  2. Pingback: STA Interview Questions - siliconvlsi

  3. Pingback: Synchronous And Asynchronous Reset | siliconvlsi

  4. Pingback: What is a Printed Circuit Board? | siliconvlsi

  5. Pingback: What is odd cycle error in vlsi | siliconvlsi

  6. Pingback: What is Gate-All-Around (GAA) | siliconvlsi

  7. Pingback: What are the Clock Tree Synthesis (CTS ) goals ? | siliconvlsi

  8. Pingback: IoT (Internet of Things) devices | siliconvlsi

  9. Pingback: Why PMOS pass strong 1 and weak 0 | siliconvlsi

  10. Pingback: How will you measure slack for setup and hold time? | siliconvlsi

  11. Pingback: Decap Cell and Bypass Capacitor | siliconvlsi

  12. Pingback: What Is Microelectronics? | siliconvlsi

  13. Pingback: DSM Effects in vlsi | siliconvlsi

  14. Pingback: Thermal Issues in DRAM | siliconvlsi

  15. Pingback: Hadoop Interview Questions | siliconvlsi

  16. Pingback: VLSI FABRICATION TECHNOLOGY | siliconvlsi

  17. Pingback: Frequency modulation (FM) | siliconvlsi

  18. Pingback: Zener diode | siliconvlsi

  19. Pingback: What are the ways to reduce Metal Resistance? | siliconvlsi

  20. Pingback: What is PN Junction Diode and What is Minority Carrier Injection? | siliconvlsi

  21. Pingback: Difference between Analog and Digital layout | siliconvlsi

  22. Pingback: Analog Layout Design-Improve Parasitic Capacitance in IC layouts | siliconvlsi

  23. Pingback: VLSI Design - MOS Transistor | siliconvlsi

  24. Pingback: Power Planning | siliconvlsi

  25. Pingback: Analog Layout Design components | siliconvlsi

  26. Pingback: i/p’s and o/p’s of power planning and placement | siliconvlsi

  27. Pingback: What is Retrograde Well | siliconvlsi

  28. Pingback: | siliconvlsi

  29. Pingback: Binary numbers | siliconvlsi

  30. Pingback: What do you mean by Launch and capture edge? | siliconvlsi

  31. Pingback: Guard-ring : Analog Layout | siliconvlsi

  32. Pingback: what is DEF file in vlsi? | siliconvlsi

  33. Pingback: NWELL Antenna Effect | siliconvlsi

  34. Pingback: Difference Between Higher nodes and Lower nodes in VLSI? | siliconvlsi

  35. Pingback: What is temperature inversion in VLSI? | siliconvlsi

  36. Pingback: What is the difference between LVT, HVT and SVT cells in VLSI | siliconvlsi

  37. Pingback: What is DIBL in MOSFET? | siliconvlsi

  38. Pingback: What is Crosstalk in vlsi | siliconvlsi

  39. Pingback: What is a MOSFET - Its Working and Applications | siliconvlsi

  40. Pingback: Why there is a pinch-off during saturation mode of a CMOS device? | siliconvlsi

  41. Pingback: Double Patterning Technology Fabrication Process | siliconvlsi

  42. Pingback: Antenna Effect in VLSI - Causes and Solution | siliconvlsi

  43. Pingback: What is Overshoot and Undershoot Glitch | siliconvlsi

  44. Pingback: Significance of CRPR in Static Timing Analysis | siliconvlsi

  45. Pingback: What are Resistance Capacitance and Inductance? | siliconvlsi

  46. Pingback: Standard Cell Library | siliconvlsi

  47. Pingback: Hadoop | siliconvlsi

  48. Pingback: TDDB(Time-Dependent Dielectric Breakdown) | siliconvlsi

  49. Pingback: What is surface scattering in MOSFET? | siliconvlsi

  50. Pingback: Impact ionization | siliconvlsi

  51. Pingback: Antenna effect | siliconvlsi

  52. Pingback: Why we used double patterning in VLSI? | siliconvlsi

  53. Pingback: What is Wells, Taps, and Guard rings in Analog Layout design | siliconvlsi

  54. Pingback: Matching Technique in Analog Layout | siliconvlsi

  55. Pingback: Physical Design | siliconvlsi

  56. Pingback: Layout Design Rule - Stick Diagrams | siliconvlsi Analog Design

  57. Pingback: FinFET : Its advantage and disadvantage | siliconvlsi

  58. Pingback: sram full form | siliconvlsi

  59. Pingback: D flip flop using mux | siliconvlsi

  60. Pingback: D Latch Using MUX | siliconvlsi

  61. Pingback: Design 4:1 Mux Using 2:1 Mux | siliconvlsi

  62. Pingback: 2:1 MUX Using NAND | siliconvlsi

  63. Pingback: Light Sensor | siliconvlsi

  64. Pingback: MOSFET Full Form | siliconvlsi

  65. Pingback: Input Files Required for PnR and Signoff Stages | siliconvlsi

  66. Pingback: SAP Labs Interview Questions | siliconvlsi

  67. Pingback: Cisco Interview Questions | siliconvlsi

  68. Pingback: Physical Design Engineer Question Set 1 | siliconvlsi

  69. Pingback: C++ program to read employee details using parameterized constructor | siliconvlsi

  70. Pingback: Logic NAND Gate- Symbol, Truth Table, Circuit Diagram | siliconvlsi

  71. Pingback: NOR Gate- Symbol, Truth Table and Circuit Diagram | siliconvlsi

  72. Pingback: What is Clock Period and Levels of Clock | siliconvlsi

  73. Pingback: What is dynamic power? | siliconvlsi

  74. Pingback: Which input files are required to run STA | siliconvlsi

  75. Pingback: Flash Memory | siliconvlsi

  76. Pingback: Schematic & Layout Design | siliconvlsi

  77. Pingback: Reasons for Metastability in VLSI | siliconvlsi

  78. Pingback: Difference between the clock mesh and clock tree-type distribution system | siliconvlsi

  79. Pingback: DEF file in VLSI Design | Data Exchange Format | siliconvlsi

  80. Pingback: Latch up In VLSI | siliconvlsi

  81. Pingback: Interview Questions with Answers | siliconvlsi

  82. Pingback: pn junction diode | siliconvlsi

  83. Pingback: ESD(Electrostatic Discharge) Models | siliconvlsi

  84. Pingback: Avalanche Diode: Working Principle & Applications | siliconvlsi

  85. Pingback: What are the Limitations of Hadoop 1.0 | siliconvlsi

  86. Pingback: Channel Length Modulation | siliconvlsi

  87. Pingback: Body Effect in mosfet | siliconvlsi

  88. Pingback: What is pinch off effect in mosfet | siliconvlsi

  89. Pingback: Differences between FD-SOI and FinFET | siliconvlsi

  90. Pingback: Difference between metal gate and polysilicon gate technology | siliconvlsi

  91. Pingback: What is Verilog? | siliconvlsi

  92. Pingback: Difference between Layout and Schematic | siliconvlsi

  93. Pingback: What are the types in Physical Verification | siliconvlsi

  94. Pingback: Difference between Schematic and Layout | siliconvlsi

  95. Pingback: What is NBTI? | siliconvlsi

  96. Pingback: Characteristics of Operational Amplifier | siliconvlsi

  97. Pingback: What is CMP (chemical mechanical polishing)? | siliconvlsi

  98. Pingback: Process Variation in VLSI | siliconvlsi

  99. Pingback: If you have both IR drops and congestion how will you fix it? | siliconvlsi

  100. Pingback: What is PIN Diode?  | siliconvlsi

  101. Pingback: Working of ESD Clamp Circuit in VLSI | siliconvlsi

  102. Pingback: Working Principle and Applications of RADAR | siliconvlsi

  103. Pingback: Temperature Inversion on Lower Nodes | siliconvlsi

  104. Pingback: 10 Most Asked Questions in Interview | siliconvlsi

  105. Pingback: Infosys Interview Questions | siliconvlsi

  106. Pingback: VLSI Interview Questions | siliconvlsi

  107. Pingback: VLSI Full Form | siliconvlsi

  108. Pingback: LED Full Form | siliconvlsi

  109. Pingback: Tunnel Diode | siliconvlsi

  110. Pingback: What is insertion delay? | siliconvlsi

  111. Pingback: What Is Noise Margin in VLSI | siliconvlsi

  112. Pingback: Latch-Up Prevention Techniques | siliconvlsi

  113. Pingback: Types of Shielding in VLSI | siliconvlsi

  114. Pingback: Power Gain and Voltage Gain in dB | siliconvlsi

  115. Pingback: Via Doubling in CMOS | Siliconvlsi

Leave A Reply

error: Content is protected !!