Why PMOS pass strong 1 and weak 0
Why NMOS pass strong 0 and weak 1
What is Double Patterning in VLSI
Temperature Inversion on Lower Nodes
What is the odd cycle error in VLSI
Different Types of IC Packaging
What are the steps involved in semiconductor device fabrication?
How does FinFET reduce leakage?
Working of ESD Clamp Circuit in VLSI
Difference between the TTL chips and CMOS chips?
Why do we use p substrate in CMOS?
What is Bulk Connection in CMOS?
Advantages and Disadvantages of MOSFET Scaling
Front End of the Line (FEOL) CMOS Fabrication Process Steps
Back End of the Line (BEOL) CMOS Fabrication Process Steps
Advantages and disadvantages of MOSFET
What checks are done in Electrical rule check (ERC)
What is Schematic & Layout Design
MOS capacitance-voltage characteristics
How multiple vias are used to reduce crosstalk?
How the spacing reduces the crosstalk?
VLSI Interview Questions
VLSI has two main Domains, Front End and Back End design. In front-end design, RTL and Verilog design are present, while in the back end, layout and physical design are considered. Let’s see some common Interview Questions in VLSI design.
Why do VLSI circuits use MOSFETs instead of BJTs?
BJT and MOSFETs can be produced in very small packages due to their small silicon footprint on IC chips and ease of manufacturing. Additionally, circuits that just use MOSFETs, such as those that use diodes, resistors, etc., can still use digital and memory ICs.
What is Verilog?
The HDL (Hardware Description Language) used to describe electronic circuits and systems is called Verilog. Circuit parts are constructed inside a Module in Verilog. Both behavioral and structural statements are present. Circuitry elements like logic gates, counters, and microprocessors are represented by structural statements. Programming constructs like loops, if-then statements, and stimulus vectors are represented by behavioral statements.
What are two types of procedural blocks in Verilog?
In Verilog, there are two varieties of procedural blocks:
Initial: At time zero, initial blocks only execute once.
Always: As implied by its name, this block loop will run repeatedly and always.
What is the set-up time and hold time?
Set time is the minimum time interval for which the input signal must be stable before the sampling event of the clock for the input signal, while Hold time is the minimum time interval for which the input signal must be stable following the sampling event of the clock for the input signal.
How Verilog is different from a normal programming language?
The following are some ways that Verilog can differ from traditional programming languages
- The concept of simulation time
- Network connections and primitive gates are fundamental circuit principles.
What Happens if Setup and Hold Times Are Violated?
The Flip-Flop output is not guaranteed to be steady if your design has setup or hold time violations. It is unknown if the value is 0, one, or someplace in between. We refer to this as metastability. An FPGA should not have metastability since it could lead to odd behavior. You may learn more about metastability here. The mechanics underlying it are fascinating.
The Different Types Of Skews Used In Vlsi
Local skew: This is the differential between the flip-flops used for launching and landing.
Global skew: Describes the difference between the component that arrives at the flip flow first and the component that arrives last, both with the same clock domain. The clock is provided in this case, and delays are not measured.
Useful skew: A flip-flop path’s delay in being captured is defined by the useful skew, which aids in creating the conditions necessary for the timing path’s launch and capture. For the purposes of design, the hold requirement in this instance must be satisfied.
Difference Between Synchronous And Asynchronous Reset
Synchronous reset is the logic that will synthesize to smaller flip-flops. Synchronous reset doesn’t allow the synthesis tool to be used easily and it distinguishes the reset signal from other data signals, while Asynchronous Reset will allow the same.
What is Propagation Delay
The length of time it takes for a signal to get from its source to its destination is known as the propagation delay. A fundamental idea underlying the operation of digital circuits is propagation delay.
Propagation Delay is a topic that interviewers frequently inquire about, so it is also a very beneficial one to comprehend. It is now obvious that not all signals spread instantly. Voltage changes propagate down a cable in a relatively little period of time.
List different gates where Boolean logic is used
- AND Gate
- OR Gate
- NOT Gate
- NAND Gate
- NOR Gate
- XOR Gate
- XNOR Gate
What are the various factors that can affect the threshold voltage?
- Doping of the Substrate
- Doping at the Gate
- Length of the Channel
- Oxide thickness
- Body Bias
What is MTBF in VLSI?
Mean Time To Failure (MTTF) is the duration before a part or instrument needs to be replaced after it fails. Mean Time Between Failures (MTBF) is the amount of time it takes to repair a component or piece of equipment after it has broken.
Related Posts
Analog and Memory Layout Design Forum |
Physical Layout Design Forum |
RTL & Verilog Design Forum |
Semiconductor Forum |
Analog Layout Design Interview Questions | Memory Design Interview Questions |
Physical Design Interview Questions | Verilog Interview Questions |
Digital Design Interview Questions | STA Interview Questions |
VLSI Interview Questions
252 Comments
Pingback: Why SRAM is faster than DRAM | siliconvlsi
Pingback: What is Overshoot and Undershoot Glitch | siliconvlsi
Pingback: Important Concept for physical design in VLSI | siliconvlsi
Pingback: What is Gate-All-Around (GAA) | siliconvlsi
Pingback: | siliconvlsi
Pingback: What Is Netlist? | siliconvlsi
Pingback: If you have both IR drops and congestion how will you fix it? | siliconvlsi
Pingback: List of Sanity Checks in Physical Design | siliconvlsi
Pingback: Difference between statistical and conventional STA | siliconvlsi
Pingback: i/p’s and o/p’s of power planning and placement | siliconvlsi
Pingback: iot devices meaning | siliconvlsi
Pingback: What checks are done in Electrical rule check (ERC) | siliconvlsi
Pingback: What is Retrograde Well | siliconvlsi
Pingback: Ohms | siliconvlsi
Pingback: Metastability | siliconvlsi
Pingback: Time Borrowing Concept | siliconvlsi
Pingback: What do you mean by Launch and capture edge? | siliconvlsi
Pingback: Hot Electron effect in mosfet | siliconvlsi
Pingback: Guard-ring : Analog Layout | siliconvlsi
Pingback: Difference between ram and rom | siliconvlsi
Pingback: NWELL Antenna Effect | siliconvlsi
Pingback: Python Interview Questions | siliconvlsi
Pingback: Avalanche Diode: Working Principle & Applications | siliconvlsi
Pingback: Guard rings, Wells, Deep N-well, Dummy devices - Analog Layout | siliconvlsi
Pingback: Difference Between Higher nodes and Lower nodes in VLSI? | siliconvlsi
Pingback: What is the difference between LVT, HVT and SVT cells in VLSI | siliconvlsi
Pingback: What is Standard Cell Library ? | siliconvlsi
Pingback: Why we extend poly over the diffusion? | siliconvlsi
Pingback: Latch-up issue in CMOS Logic | siliconvlsi
Pingback: Use of Dummy devices in Analog Layout | siliconvlsi
Pingback: Matching Technique in Analog Layout | siliconvlsi
Pingback: What is PIN Diode? | siliconvlsi
Pingback: What is an always on cell in VLSI? | siliconvlsi
Pingback: Difference Between FinFET and MOSFET | siliconvlsi
Pingback: FinFET Fabrication Process | siliconvlsi
Pingback: What is CMP (chemical mechanical polishing)? | siliconvlsi
Pingback: Best Practice for Analog Layout Design | siliconvlsi
Pingback: What is NWELL Antenna Effect | siliconvlsi
Pingback: What are DRC & how will you fix them? | siliconvlsi
Pingback: Why do we use p substrate in CMOS? | siliconvlsi
Pingback: CMOS Process Integration | siliconvlsi
Pingback: SPICE Netlist | siliconvlsi
Pingback: Process Variation in VLSI | siliconvlsi
Pingback: Schematic & Layout Design | siliconvlsi
Pingback: Flash Memory | siliconvlsi
Pingback: Programmable Logic Device | siliconvlsi
Pingback: Resistor Color Code | siliconvlsi
Pingback: Binary numbers | siliconvlsi
Pingback: Decimal numbers | siliconvlsi
Pingback: Ideal MOSFET Current–Voltage Characteristics | siliconvlsi
Pingback: Characteristics of Operational Amplifier | siliconvlsi
Pingback: Two-Terminal MOS Structure | siliconvlsi
Pingback: Doping in VLSI | siliconvlsi
Pingback: Crosstalk and Shielding | siliconvlsi
Pingback: Timing Path | siliconvlsi
Pingback: Lockup Latch | siliconvlsi
Pingback: What do you mean by reset? | siliconvlsi
Pingback: What do you mean by clock Jitter? | siliconvlsi
Pingback: How will you measure slack for setup and hold time? | siliconvlsi
Pingback: Which input files are required to run STA | siliconvlsi
Pingback: What is NBTI? | siliconvlsi
Pingback: What is aging effect in vlsi | siliconvlsi
Pingback: Timing Analysis in physical design | siliconvlsi
Pingback: What is a LDO? | siliconvlsi
Pingback: Difference between flip chip and wire bond | siliconvlsi
Pingback: Body Effect in mosfet | siliconvlsi
Pingback: Critical area analysis (CAA)
Pingback: Differences between FD-SOI and FinFET | siliconvlsi
Pingback: What is pinch off effect in mosfet | siliconvlsi
Pingback: Channel Length Modulation | siliconvlsi
Pingback: what is DEF file in vlsi? | siliconvlsi
Pingback: Micron Plans to Invest $40 Billion in US Chip Production | siliconvlsi
Pingback: TDDB(Time-Dependent Dielectric Breakdown) | siliconvlsi
Pingback: What after 3nm FinFETs? | siliconvlsi
Pingback: Difference between Layout and Schematic | siliconvlsi
Pingback: Semiconductor | siliconvlsi
Pingback: Python Tutorial | siliconvlsi
Pingback: pn junction diode | siliconvlsi
Pingback: Amplitude modulation | siliconvlsi
Pingback: Drain Induced Barrier owering (DIBL) effect | siliconvlsi
Pingback: What is FinFET Technology | siliconvlsi
Pingback: What are the ways to reduce Metal Resistance? | siliconvlsi
Pingback: How many Vias you will use and how it will help to reduce resistance | siliconvlsi
Pingback: Why do we use filler cells in VLSI? | siliconvlsi
Pingback: Difference between Analog and Digital layout | siliconvlsi
Pingback: Analog Layout Design-Improve Parasitic Capacitance in IC layouts | siliconvlsi
Pingback: Isolation Techniques - LOCOS & STI | siliconvlsi
Pingback: Second Order Effects | siliconvlsi
Pingback: What is Electromigration Effect? | siliconvlsi
Pingback: What is the latest technology in the VLSI field? | siliconvlsi
Pingback: What is stick diagram | siliconvlsi
Pingback: Why we need Sense amplifiers and Precharge Circuit? | siliconvlsi
Pingback: Why their is always contact(via0) in between diffusion or poly and metal ? why not via1,via2,via3 ? | siliconvlsi
Pingback: Why we used double patterning in VLSI? | siliconvlsi
Pingback: What is Wells, Taps, and Guard rings in Analog Layout design | siliconvlsi
Pingback: What is a MOSFET - Its Working and Applications | siliconvlsi
Pingback: Analog Layout Design components | siliconvlsi
Pingback: Interview Questions with Answers | siliconvlsi
Pingback: What are the types in Physical Verification | siliconvlsi
Pingback: Temperature Inversion on Lower Nodes | siliconvlsi
Pingback: Isolation cells & Level Shifter cells | siliconvlsi
Pingback: Skew in VLSI | siliconvlsi
Pingback: Synchronous And Asynchronous Reset | siliconvlsi
Pingback: What is Threshold voltage? | siliconvlsi
Pingback: What are the Clock Tree Synthesis (CTS ) goals ? | siliconvlsi
Pingback: What are the effects of Metastability | siliconvlsi
Pingback: Significance of CRPR in Static Timing Analysis | siliconvlsi
Pingback: Difference between the clock mesh and clock tree-type distribution system | siliconvlsi
Pingback: What are Resistance Capacitance and Inductance? | siliconvlsi
Pingback: On chip Variation (OCV) | siliconvlsi
Pingback: Net Delay | siliconvlsi
Pingback: Central Processing Unit | siliconvlsi
Pingback: Difference between Schematic and Layout | siliconvlsi
Pingback: Physical Design Interview Questions | siliconvlsi
Pingback: Why PMOS pass strong 1 and weak 0 | siliconvlsi
Pingback: List the ideal conditions for the timing path. | siliconvlsi
Pingback: linux interview questions | siliconvlsi
Pingback: What are the Limitations of Hadoop 1.0 | siliconvlsi
Pingback: Difference between metal gate and polysilicon gate technology | siliconvlsi
Pingback: Why does 32-bit called x86 while 64-bit called x64 | siliconvlsi
Pingback: Explain working of 6-T SRAM cell | siliconvlsi
Pingback: Nanosheet FET | siliconvlsi
Pingback: What is Verilog? | siliconvlsi
Pingback: Intrinsic semiconductor: Electron and hole current | siliconvlsi
Pingback: VLSI FABRICATION TECHNOLOGY | siliconvlsi
Pingback: 30 Linux Basic Commands Every User Should Know | siliconvlsi
Pingback: Semiconductor Fabrication Processes | siliconvlsi
Pingback: How you will take care of power in standard cells? | siliconvlsi
Pingback: What is PN Junction Diode and What is Minority Carrier Injection? | siliconvlsi
Pingback: NMOS and PMOS Transistors- Analog design | siliconvlsi
Pingback: Why do we check ERC in Layout of VLSI ? | siliconvlsi
Pingback: What is EPI in Silicon VLSI Technology? | siliconvlsi
Pingback: What is IR drop | siliconvlsi
Pingback: Why is Polysilicon(Poly gate) used as a gate contact instead of metal in CMOS? | siliconvlsi
Pingback: What is a soft check or a stamping conflict at LVS? | siliconvlsi
Pingback: FinFET : Its advantage and disadvantage | siliconvlsi
Pingback: Floorplanning | siliconvlsi
Pingback: VLSI Design - MOS Transistor | siliconvlsi
Pingback: Difference between Latches and Flip-Flops | siliconvlsi
Pingback: CLB (Configurable Logic Blocks) | siliconvlsi
Pingback: DRAM Full Form | siliconvlsi
Pingback: CMOS | siliconvlsi
Pingback: CMOS Battery Price | siliconvlsi
Pingback: Light Sensor | siliconvlsi
Pingback: Tunnel Diode | siliconvlsi
Pingback: MOSFET Full Form | siliconvlsi
Pingback: LED Full Form | siliconvlsi
Pingback: VLSI Full Form | siliconvlsi
Pingback: VLSI Interview Questions | siliconvlsi
Pingback: SAP Labs Interview Questions | siliconvlsi
Pingback: Cisco Interview Questions | siliconvlsi
Pingback: 10 Most Asked Questions in Interview | siliconvlsi
Pingback: Physical Design Engineer Question Set 1 | siliconvlsi
Pingback: D flip flop using mux | siliconvlsi
Pingback: D Latch Using MUX | siliconvlsi
Pingback: D Flip Flop Truth Tabel | siliconvlsi
Pingback: 2:1 MUX Using NAND | siliconvlsi
Pingback: Design 4:1 Mux Using 2:1 Mux | siliconvlsi
Pingback: Working Principle and Applications of RADAR | siliconvlsi
Pingback: 100+ Sasken Interview Questions & Answers | siliconvlsi
Pingback: Input Files Required for PnR and Signoff Stages | siliconvlsi
Pingback: Infosys Interview Questions | siliconvlsi
Pingback: Worst and Best Timing Path | siliconvlsi
Pingback: Hadoop | siliconvlsi
Pingback: C++ program to read employee details using parameterized constructor | siliconvlsi
Pingback: Stack program in Java without using stack class | siliconvlsi
Pingback: What Is Noise Margin in VLSI | siliconvlsi
Pingback: Latch up In VLSI | siliconvlsi
Pingback: Logic NAND Gate- Symbol, Truth Table, Circuit Diagram | siliconvlsi
Pingback: What is insertion delay? | siliconvlsi
Pingback: What is Clock Period and Levels of Clock | siliconvlsi
Pingback: Which parameters decide Spacing between Macros | siliconvlsi
Pingback: What is dynamic power? | siliconvlsi
Pingback: Difference between Analog and Digital signal | siliconvlsi
Pingback: Antenna effect | siliconvlsi
Pingback: ESD(Electrostatic Discharge) Models | siliconvlsi
Pingback: Double Patterning | siliconvlsi
Pingback: SRAM Memory Architecture | siliconvlsi
Pingback: Analog Layout Design Tips and Tricks | siliconvlsi
Pingback: What is the Contact Spike phenomenon in VLSI | siliconvlsi
Pingback: Fin Field-Effect Transistor | siliconvlsi
Pingback: MOSFET | siliconvlsi
Pingback: Why NAND Gate is Better than NOR Gate? | siliconvlsi
Pingback: CMOS Delay Cell | siliconvlsi
Pingback: What are the steps involved in Semiconductor device Fabrication? | siliconvlsi
Pingback: CMOS Inverter | siliconvlsi
Pingback: Double Patterning Technology Fabrication Process | siliconvlsi
Pingback: What are metal ECO and Base ECO? | siliconvlsi
Pingback: Drain-Induced Barrier Lowering - DIBL | Short Channel Effect | siliconvlsi
Pingback: Layout Design Rule - Stick Diagrams | siliconvlsi Analog Design
Pingback: Impact ionization | siliconvlsi
Pingback: Well Proximity Effect | siliconvlsi
Pingback: Pass Transistor Logic | siliconvlsi
Pingback: Latch-up in CMOS circuits | siliconvlsi
Pingback: Frequency modulation (FM) | siliconvlsi
Pingback: Diode: Diode Construction & Application | siliconvlsi
Pingback: Zener diode | siliconvlsi
Pingback: gvim commands for vlsi engineer | siliconvlsi
Pingback: Thermal Issues in DRAM | siliconvlsi
Pingback: Why timing analysis is an Important Factor? | siliconvlsi
Pingback: Why is Timing Analysis important in Physical Design? | siliconvlsi
Pingback: Characteristics of an ideal Op-amp | siliconvlsi
Pingback: Decap Cell and Bypass Capacitor | siliconvlsi
Pingback: Soft check and Stamping Conflict error | siliconvlsi
Pingback: DSM Effects in vlsi | siliconvlsi
Pingback: What do you mean by clock skew? | siliconvlsi
Pingback: Strings in Tcl | siliconvlsi
Pingback: What do you mean by critical path, false path, and multicycle path? | siliconvlsi
Pingback: What are the main reasons for setup or hold time violations? | siliconvlsi
Pingback: Why NMOS pass strong 0 and weak 1 | siliconvlsi
Pingback: Etching | siliconvlsi
Pingback: Voltage Divider Formula | siliconvlsi
Pingback: How to calculate interconnect Wire Resistance | siliconvlsi
Pingback: Triple-Well Processes | siliconvlsi
Pingback: Standard Cell Library | siliconvlsi
Pingback: MOSFET Scaling | siliconvlsi
Pingback: Reasons for Metastability in VLSI | siliconvlsi
Pingback: Chemical Vapor Deposition | siliconvlsi
Pingback: What is HVT, LVT, and ULVT cells? | siliconvlsi
Pingback: Python | siliconvlsi
Pingback: Different Types of IC Packaging | siliconvlsi
Pingback: What is the feedback in VLSI? | siliconvlsi
Pingback: What is a Printed Circuit Board? | siliconvlsi
Pingback: Radar Full Form | siliconvlsi
Pingback: SRAM full form | siliconvlsi
Pingback: Why there is a pinch-off during saturation mode of a CMOS device? | siliconvlsi
Pingback: Comparison Between CMOS and BJTs | siliconvlsi
Pingback: What is CIF and GDS | siliconvlsi
Pingback: Inputs of Physical Design? | siliconvlsi
Pingback: Design3:8 Decoder Using 2:4 Decoders | siliconvlsi
Pingback: Toggle or T flip-flop | siliconvlsi
Pingback: Master-Slave D Flip-Flop | siliconvlsi
Pingback: What are the inputs of LVS? | siliconvlsi
Pingback: How to decide channel width between macros? | siliconvlsi
Pingback: What are the OCV & AOCV? | siliconvlsi
Pingback: Difference Between Clock Skew and Uncertainty | siliconvlsi
Pingback: Latch-Up Prevention Techniques | siliconvlsi
Pingback: Analog Layout Design Mastery: Expert Tips and Tricks | siliconvlsi
Pingback: Digital Electronics | siliconvlsi
Pingback: What is GIDL(Gate Induced Drain Leakage) in mosfet? | siliconvlsi
Pingback: Types of Shielding in VLSI | siliconvlsi
Pingback: STA Interview Questions | siliconvlsi
Pingback: 10 Ways To Fix Setup and Hold Time Violations | siliconvlsi
Pingback: Solenoid Valve Problems and Solutions | siliconvlsi
Pingback: Power Gain and Voltage Gain in dB | siliconvlsi
Pingback: What is Skin Effect? Factors affecting skin effect | siliconvlsi
Pingback: What is Lightning Arrester? | siliconvlsi
Pingback: Types of Lightning Arrester | Siliconvlsi
Pingback: Built-In Self-Test (BIST) Techniques for CMOS circuits | Siliconvlsi
Pingback: SR Flip Flop | Siliconvlsi
Pingback: Difference between CPLD and FPGA | Siliconvlsi
Pingback: Via Doubling in CMOS | Siliconvlsi