STA Interview Questions with Answers

Static timing analysis (STA) is a technique for evaluating the timing performance of a design. Here we will see some basic interview Questions for STA in the Physical design Domain.

STA Interview Question

What are the important features of STA?
What are the major functions of STA?
What is Net Delay?
What are the OCV & AOCV?
Significance of CRPR in Static Timing Analysis
What are the different types of delays in ASIC or VLSI design?
During static timing analysis, what are the ideal characteristics of a clock?
What do you mean by positive, negative, and zero slack?
What is synchronous reset along with its advantages and disadvantages?
10 Ways To Fix Setup and Hold Time Violations
How STA is different from circuit simulation?
Which input files are required to run STA?
How will you measure slack for setup and hold time?
What is Clock Latency?
List of Sanity Checks in Physical Design
For timing analysis, what are the various paths that the designer considers?
Which type of jitters can be used to determine high-frequency jitter?
What do you understand by time stealing?
List the types of delay models used to estimate the delay.
Difference between statistical and conventional STA
When Static Timing Analysis is done?
How STA is performed on the circuit?
What do you mean by Launch and capture edge?
How does the clock skew violate setup and hold time constraints?
What are the main reasons for setup or hold time violations?
What are reset assertion and reset Deassertion?
What is the difference between time-borrowing and time-stealing?
What do you mean by critical path, false path, and multicycle path?
What do you mean by timing path? What are the start and endpoints?
How many types of clock jitter are there?
What are the effects of Metastability?
Global on-chip variation
Local on-chip variation
What are the various timing paths?
What do you mean by clock skew?
What is positive, negative, and zero clock skew?
What is Overshoot and Undershoot Glitch
How to Find the Setup for Flip-Flop
Low Power Design Techniques Used in Physical Design
DIBL GIDL BTBT and Tunneling Effect in CMOS Devices
i/p’s and o/p’s of power planning and placement
What is the odd cycle error in VLSI 
List the parameters on which net delay or cell delay depends.
Temperature Inversion on Lower Nodes
Duty Cycle & Pulse Width
What are the inputs of LVS?
What is the content in the .lib, .lef & .tlef files
How to fix setup and hold violations at a time?
Difference between the clock mesh and clock tree-type distribution system
Input skew and output skew
Process Corners in VLSI
What is SPICE Netlist
Different Types of IC Packaging
What are the steps involved in semiconductor device fabrication?
What is the feedback in VLSI?
Process Variation in VLSI
Which parameters decide the Spacing between Macros
What is Dynamic Power?
Positive and Negative Clock Skew
Why timing analysis is an important factor?
Why do we go for FinFET?
How does FinFET reduce leakage?
What is better than FinFET?
Where is FinFET technology used?
What do you mean by reset?
How many types of resets are available?
Explain the concept of Asynchronous Reset.
Which factors decide setup time and hold time?
What are the main characteristics of the time-borrowing concept?
What are the worst paths and best paths?
Ways to Fix the Timing Path
Is the term clock skew and global skew the same?
What is a false path in static timing analysis?
Out of setup time violation and hold time violation, which is more dangerous to the design specifications and working mode?
List the ideal conditions for the timing path.
How will you calculate negative and maximum borrow time?
What do you mean by clock Jitter?

Interview Questions

Related Posts

Analog and Memory Layout Design Forum
Physical Layout Desing Forum
RTL & Verilog Design Forum
Semiconductor Forum
Analog Layout Design Interview Questions Memory Design Interview Questions
Physical Design Interview Questions Verilog Interview Questions
Digital Design Interview Questions
50+ Top Memory Layout Multiple Choice Questions with Answers
50+ Top Analog Layout Multiple Choice Questions with Answers
50+ Top Verilog Design Multiple Choice Questions with Answers
50+ Top Physical Design Multiple Choice Questions with Answers
50+ Top ASIC Flow Multiple Choice Questions with Answers
50+ Top Digital Design Multiple Choice Questions with Answers
50+ Top Combinational Circuits Multiple Choice Questions with Answers
50+ Top Logic Families Multiple Choice Questions with Answers   #
50+ Top VLSI Design Multiple Choice Questions with Answers

 

Share.

282 Comments

  1. Pingback: Which input files are required to run STA? - siliconvlsi

  2. Pingback: How will you measure slack for setup and hold time? - siliconvlsi

  3. Pingback: Temperature Inversion on Lower Nodes | siliconvlsi

  4. Pingback: siliconvlsi | siliconvlsi

  5. Pingback: Why there is a pinch-off during saturation mode of a CMOS device? | siliconvlsi

  6. Pingback: Double Patterning Technology Fabrication Process | siliconvlsi

  7. Pingback: Comparison Between CMOS and BJTs | siliconvlsi

  8. Pingback: What is CIF and GDS | siliconvlsi

  9. Pingback: Synchronous And Asynchronous Reset | siliconvlsi

  10. Pingback: CMOS Delay Cell | siliconvlsi

  11. Pingback: Inputs of Physical Design? | siliconvlsi

  12. Pingback: Python | siliconvlsi

  13. Pingback: Why do Digital circuits use less power than Analog Circuit | siliconvlsi

  14. Pingback: What is Threshold voltage? | siliconvlsi

  15. Pingback: What is the feedback in VLSI? | siliconvlsi

  16. Pingback: Different Types of IC Packaging | siliconvlsi

  17. Pingback: What is a Printed Circuit Board? | siliconvlsi

  18. Pingback: What is Crosstalk in vlsi | siliconvlsi

  19. Pingback: What is Gate-All-Around (GAA) | siliconvlsi

  20. Pingback: | siliconvlsi

  21. Pingback: What Is Netlist? | siliconvlsi

  22. Pingback: Crosstalk and Shielding | siliconvlsi

  23. Pingback: What are the types in Physical Verification | siliconvlsi

  24. Pingback: DEF file in VLSI Design | Data Exchange Format | siliconvlsi

  25. Pingback: What is PIN Diode?  | siliconvlsi

  26. Pingback: iot devices meaning | siliconvlsi

  27. Pingback: What is NWELL Antenna Effect | siliconvlsi

  28. Pingback: What are DRC & how will you fix them? | siliconvlsi

  29. Pingback: What is HVT, LVT, and ULVT cells? | siliconvlsi

  30. Pingback: Why do we use p substrate in CMOS? | siliconvlsi

  31. Pingback: CMOS Process Integration | siliconvlsi

  32. Pingback: SPICE Netlist | siliconvlsi

  33. Pingback: Flash Memory | siliconvlsi

  34. Pingback: Binary numbers | siliconvlsi

  35. Pingback: Decimal numbers | siliconvlsi

  36. Pingback: Ideal MOSFET Current–Voltage Characteristics | siliconvlsi

  37. Pingback: Two-Terminal MOS Structure | siliconvlsi

  38. Pingback: SRAM Memory Architecture | siliconvlsi

  39. Pingback: Critical area analysis (CAA)

  40. Pingback: What is a LDO? | siliconvlsi

  41. Pingback: Timing Analysis in physical design | siliconvlsi

  42. Pingback: Top VLSI Companies in India | siliconvlsi

  43. Pingback: Differences between FD-SOI and FinFET | siliconvlsi

  44. Pingback: Difference between metal gate and polysilicon gate technology | siliconvlsi

  45. Pingback: Explain working of 6-T SRAM cell | siliconvlsi

  46. Pingback: Nanosheet FET | siliconvlsi

  47. Pingback: Micron Plans to Invest $40 Billion in US Chip Production | siliconvlsi

  48. Pingback: What are the Limitations of Hadoop 1.0 | siliconvlsi

  49. Pingback: Linux Mint 20.3 Users Can Now Upgrade to Linux Mint 21 | siliconvlsi

  50. Pingback: What is FinFET Technology | siliconvlsi

  51. Pingback: pn junction diode | siliconvlsi

  52. Pingback: Semiconductor | siliconvlsi

  53. Pingback: Isolation Techniques - LOCOS & STI | siliconvlsi

  54. Pingback: Analog Layout Design-Improve Parasitic Capacitance in IC layouts | siliconvlsi

  55. Pingback: How many Vias you will use and how it will help to reduce resistance | siliconvlsi

  56. Pingback: Why do we use filler cells in VLSI? | siliconvlsi

  57. Pingback: Difference Between Higher nodes and Lower nodes in VLSI? | siliconvlsi

  58. Pingback: What are the ways to reduce Metal Resistance? | siliconvlsi

  59. Pingback: What is the difference between LVT, HVT and SVT cells in VLSI | siliconvlsi

  60. Pingback: What is Standard Cell Library ? | siliconvlsi

  61. Pingback: What is stick diagram | siliconvlsi

  62. Pingback: Why their is always contact(via0) in between diffusion or poly and metal ? why not via1,via2,via3 ? | siliconvlsi

  63. Pingback: What is Electromigration Effect? | siliconvlsi

  64. Pingback: What is a soft check or a stamping conflict at LVS? | siliconvlsi

  65. Pingback: What is Wells, Taps, and Guard rings in Analog Layout design | siliconvlsi

  66. Pingback: What is an always on cell in VLSI? | siliconvlsi

  67. Pingback: Difference Between FinFET and MOSFET | siliconvlsi

  68. Pingback: Why NAND Gate is Better than NOR Gate? | siliconvlsi

  69. Pingback: Chemical Vapor Deposition | siliconvlsi

  70. Pingback: FinFET Fabrication Process | siliconvlsi

  71. Pingback: What is Retrograde Well | siliconvlsi

  72. Pingback: What is CMP (chemical mechanical polishing)? | siliconvlsi

  73. Pingback: What is the Contact Spike phenomenon in VLSI | siliconvlsi

  74. Pingback: Best Practice for Analog Layout Design | siliconvlsi

  75. Pingback: Why SRAM is faster than DRAM | siliconvlsi

  76. Pingback: Fin Field-Effect Transistor | siliconvlsi

  77. Pingback: What are Resistance Capacitance and Inductance? | siliconvlsi

  78. Pingback: Triple-Well Processes | siliconvlsi

  79. Pingback: Process Variation in VLSI | siliconvlsi

  80. Pingback: On chip Variation (OCV) | siliconvlsi

  81. Pingback: MOSFET | siliconvlsi

  82. Pingback: Schematic & Layout Design | siliconvlsi

  83. Pingback: Net Delay | siliconvlsi

  84. Pingback: Standard Cell Library | siliconvlsi

  85. Pingback: MOSFET Scaling | siliconvlsi

  86. Pingback: Central Processing Unit | siliconvlsi

  87. Pingback: Programmable Logic Device | siliconvlsi

  88. Pingback: Ohms | siliconvlsi

  89. Pingback: Resistor Color Code | siliconvlsi

  90. Pingback: Logic Gates | siliconvlsi

  91. Pingback: Etching | siliconvlsi

  92. Pingback: Characteristics of Operational Amplifier | siliconvlsi

  93. Pingback: Voltage Divider Formula | siliconvlsi

  94. Pingback: Timing Path | siliconvlsi

  95. Pingback: Lockup Latch | siliconvlsi

  96. Pingback: Doping in VLSI | siliconvlsi

  97. Pingback: Difference between Schematic and Layout | siliconvlsi

  98. Pingback: What do you mean by reset? | siliconvlsi

  99. Pingback: What do you mean by clock Jitter? | siliconvlsi

  100. Pingback: What do you mean by clock skew? | siliconvlsi

  101. Pingback: List the ideal conditions for the timing path. | siliconvlsi

  102. Pingback: Strings in Tcl | siliconvlsi

  103. Pingback: Metastability | siliconvlsi

  104. Pingback: Worst and Best Timing Path | siliconvlsi

  105. Pingback: Time Borrowing Concept | siliconvlsi

  106. Pingback: What do you mean by critical path, false path, and multicycle path? | siliconvlsi

  107. Pingback: What are the main reasons for setup or hold time violations? | siliconvlsi

  108. Pingback: What do you mean by Launch and capture edge? | siliconvlsi

  109. Pingback: Why PMOS pass strong 1 and weak 0 | siliconvlsi

  110. Pingback: Why NMOS pass strong 0 and weak 1 | siliconvlsi

  111. Pingback: What is NBTI? | siliconvlsi

  112. Pingback: Why timing analysis is an Important Factor? | siliconvlsi

  113. Pingback: Why is Timing Analysis important in Physical Design? | siliconvlsi

  114. Pingback: Physical Design Interview Questions | siliconvlsi

  115. Pingback: What is aging effect in vlsi | siliconvlsi

  116. Pingback: Characteristics of an ideal Op-amp | siliconvlsi

  117. Pingback: Decap Cell and Bypass Capacitor | siliconvlsi

  118. Pingback: Difference between flip chip and wire bond | siliconvlsi

  119. Pingback: Guard-ring : Analog Layout | siliconvlsi

  120. Pingback: linux interview questions | siliconvlsi

  121. Pingback: DSM Effects in vlsi | siliconvlsi

  122. Pingback: Body Effect in mosfet | siliconvlsi

  123. Pingback: Channel Length Modulation | siliconvlsi

  124. Pingback: What is pinch off effect in mosfet | siliconvlsi

  125. Pingback: what is DEF file in vlsi? | siliconvlsi

  126. Pingback: Why does 32-bit called x86 while 64-bit called x64 | siliconvlsi

  127. Pingback: gvim commands for vlsi engineer | siliconvlsi

  128. Pingback: Difference between ram and rom | siliconvlsi

  129. Pingback: Thermal Issues in DRAM | siliconvlsi

  130. Pingback: What after 3nm FinFETs? | siliconvlsi

  131. Pingback: What is Verilog? | siliconvlsi

  132. Pingback: Difference between Layout and Schematic | siliconvlsi

  133. Pingback: Semiconductors will be boost | siliconvlsi

  134. Pingback: TDDB(Time-Dependent Dielectric Breakdown) | siliconvlsi

  135. Pingback: Hadoop Interview Questions | siliconvlsi

  136. Pingback: Python Interview Questions | siliconvlsi

  137. Pingback: VLSI FABRICATION TECHNOLOGY | siliconvlsi

  138. Pingback: Python Tutorial | siliconvlsi

  139. Pingback: ESD(Electrostatic Discharge) Models | siliconvlsi

  140. Pingback: NWELL Antenna Effect | siliconvlsi

  141. Pingback: Well Proximity Effect | siliconvlsi

  142. Pingback: Pass Transistor Logic | siliconvlsi

  143. Pingback: Intrinsic semiconductor: Electron and hole current | siliconvlsi

  144. Pingback: Frequency modulation (FM) | siliconvlsi

  145. Pingback: Diode: Diode Construction & Application | siliconvlsi

  146. Pingback: Avalanche Diode: Working Principle & Applications | siliconvlsi

  147. Pingback: Zener diode | siliconvlsi

  148. Pingback: Amplitude modulation | siliconvlsi

  149. Pingback: Impact ionization | siliconvlsi

  150. Pingback: Drain Induced Barrier owering (DIBL) effect | siliconvlsi

  151. Pingback: What is surface scattering in MOSFET? | siliconvlsi

  152. Pingback: How you will take care of power in standard cells? | siliconvlsi

  153. Pingback: What is PN Junction Diode and What is Minority Carrier Injection? | siliconvlsi

  154. Pingback: Difference between Analog and Digital layout | siliconvlsi

  155. Pingback: NMOS and PMOS Transistors- Analog design | siliconvlsi

  156. Pingback: Why bias voltage for PMOSFETs are referred to shield with VDD? Why not VSS? | siliconvlsi

  157. Pingback: Antenna effect | siliconvlsi

  158. Pingback: Guard rings, Wells, Deep N-well, Dummy devices - Analog Layout | siliconvlsi

  159. Pingback: Semiconductor Fabrication Processes | siliconvlsi

  160. Pingback: ESD in VLSI | siliconvlsi

  161. Pingback: 30 Linux Basic Commands Every User Should Know | siliconvlsi

  162. Pingback: What is EPI in Silicon VLSI Technology? | siliconvlsi

  163. Pingback: Latch-up issue in CMOS Logic | siliconvlsi

  164. Pingback: What is the latest technology in the VLSI field? | siliconvlsi

  165. Pingback: What is IR drop | siliconvlsi

  166. Pingback: What is GIDL(Gate Induced Drain Leakage) in mosfet? | siliconvlsi

  167. Pingback: Why is Polysilicon(Poly gate) used as a gate contact instead of metal in CMOS? | siliconvlsi

  168. Pingback: What is DIBL in MOSFET? | siliconvlsi

  169. Pingback: What is the difference between DRV(Design Rule Violations) and DRC(Design rule check) in VLSI ? | siliconvlsi

  170. Pingback: Why do we check ERC in Layout of VLSI ? | siliconvlsi

  171. Pingback: Why we used double patterning in VLSI? | siliconvlsi

  172. Pingback: Why we need Sense amplifiers and Precharge Circuit? | siliconvlsi

  173. Pingback: Why we extend poly over the diffusion? | siliconvlsi

  174. Pingback: What is accumulation region in MOSFET? | siliconvlsi

  175. Pingback: Difference between Analog and Digital signal | siliconvlsi

  176. Pingback: CLB (Configurable Logic Blocks) | siliconvlsi

  177. Pingback: What is FPGA ? | siliconvlsi

  178. Pingback: Use of Dummy devices in Analog Layout | siliconvlsi

  179. Pingback: Difference between Latches and Flip-Flops | siliconvlsi

  180. Pingback: Analog Layout Design components | siliconvlsi

  181. Pingback: FinFET : Its advantage and disadvantage | siliconvlsi

  182. Pingback: Matching Technique in Analog Layout | siliconvlsi

  183. Pingback: What is a MOSFET - Its Working and Applications | siliconvlsi

  184. Pingback: Floorplanning | siliconvlsi

  185. Pingback: Physical Design | siliconvlsi

  186. Pingback: Interview Question with Answers | siliconvlsi

  187. Pingback: What is Analog Layout | siliconvlsi

  188. Pingback: What are the Clock Tree Synthesis (CTS ) goals ? | siliconvlsi

  189. Pingback: What are the effects of Metastability | siliconvlsi

  190. Pingback: Significance of CRPR in Static Timing Analysis | siliconvlsi

  191. Pingback: Working of ESD Clamp Circuit in VLSI | siliconvlsi

  192. Pingback: Difference between statistical and conventional STA | siliconvlsi

  193. Pingback: Reasons for Metastability in VLSI | siliconvlsi

  194. Pingback: How to calculate interconnect Wire Resistance | siliconvlsi

  195. Pingback: Analog Layout Design Tips and Tricks | siliconvlsi

  196. Pingback: Double Patterning | siliconvlsi

  197. Pingback: Hot Electron effect in mosfet | siliconvlsi

  198. Pingback: Soft check and Stamping Conflict error | siliconvlsi

  199. Pingback: Hadoop | siliconvlsi

  200. Pingback: Latch-up in CMOS circuits | siliconvlsi

  201. Pingback: What is the exact difference between port and pin in VLSI ? | siliconvlsi

  202. Pingback: What is Design Rule Checking (DRC) ? | siliconvlsi

  203. Pingback: Drain-Induced Barrier Lowering - DIBL | Short Channel Effect | siliconvlsi

  204. Pingback: DRAM Full Form | siliconvlsi

  205. Pingback: CMOS Inverter | siliconvlsi

  206. Pingback: CMOS | siliconvlsi

  207. Pingback: CMOS Battery Price | siliconvlsi

  208. Pingback: Radar Full Form | siliconvlsi

  209. Pingback: Working Principle and Applications of RADAR | siliconvlsi

  210. Pingback: Light Sensor | siliconvlsi

  211. Pingback: Tunnel Diode | siliconvlsi

  212. Pingback: LED Full Form | siliconvlsi

  213. Pingback: MOSFET Full Form | siliconvlsi

  214. Pingback: VLSI Full Form | siliconvlsi

  215. Pingback: VLSI Interview Questions | siliconvlsi

  216. Pingback: Input Files Required for PnR and Signoff Stages | siliconvlsi

  217. Pingback: SAP Labs Interview Questions | siliconvlsi

  218. Pingback: 100+ Sasken Interview Questions & Answers | siliconvlsi

  219. Pingback: Cisco Interview Questions | siliconvlsi

  220. Pingback: Infosys Interview Questions | siliconvlsi

  221. Pingback: 10 Most Asked Questions in Interview | siliconvlsi

  222. Pingback: Physical Design Engineer Question Set 1 | siliconvlsi

  223. Pingback: D flip flop using mux | siliconvlsi

  224. Pingback: D Latch Using MUX | siliconvlsi

  225. Pingback: D Flip Flop Truth Tabel | siliconvlsi

  226. Pingback: Master-Slave D Flip-Flop | siliconvlsi

  227. Pingback: 2:1 MUX Using NAND | siliconvlsi

  228. Pingback: Design 4:1 Mux Using 2:1 Mux | siliconvlsi

  229. Pingback: Toggle or T flip-flop | siliconvlsi

  230. Pingback: Layout Design Rule - Stick Diagrams | siliconvlsi Analog Design

  231. Pingback: Design3:8 Decoder Using 2:4 Decoders | siliconvlsi

  232. Pingback: C++ program to read employee details using parameterized constructor | siliconvlsi

  233. Pingback: Stack program in Java without using stack class | siliconvlsi

  234. Pingback: Latch up In VLSI | siliconvlsi

  235. Pingback: What Is Noise Margin in VLSI | siliconvlsi

  236. Pingback: Logic NAND Gate- Symbol, Truth Table, Circuit Diagram | siliconvlsi

  237. Pingback: What are the OCV & AOCV? | siliconvlsi

  238. Pingback: How to decide channel width between macros? | siliconvlsi

  239. Pingback: What are metal ECO and Base ECO? | siliconvlsi

  240. Pingback: What are the inputs of LVS? | siliconvlsi

  241. Pingback: What is insertion delay? | siliconvlsi

  242. Pingback: What is Clock Period and Levels of Clock | siliconvlsi

  243. Pingback: Which parameters decide Spacing between Macros | siliconvlsi

  244. Pingback: What is dynamic power? | siliconvlsi

  245. Pingback: What Is Microelectronics? | siliconvlsi

  246. Pingback: Types of Shielding in VLSI | siliconvlsi

  247. Pingback: Signal Integrity Issues | siliconvlsi

  248. Pingback: IoT (Internet of Things) devices | siliconvlsi

  249. Pingback: What checks are done in Electrical rule check (ERC) | siliconvlsi

  250. Pingback: Shielding to reduce noise - Analog Layout | siliconvlsi

  251. Pingback: What is the importance of a good floorplan in analog layout design? | siliconvlsi

  252. Pingback: Blockages and Halos in VLSI | siliconvlsi

  253. Pingback: Dishing and Erosion in Chemical Mechanical Planarization (CMP) | siliconvlsi

  254. Pingback: What are the steps involved in Semiconductor device Fabrication? | siliconvlsi

  255. Pingback: What is odd cycle error in vlsi | siliconvlsi

  256. Pingback: Difference between the TTL chips and CMOS chips? | siliconvlsi

  257. Pingback: Blockages & Halo | siliconvlsi

  258. Pingback: Isolation cells & Level Shifter cells | siliconvlsi

  259. Pingback: Antenna Effect in VLSI - Causes and Solution | siliconvlsi

  260. Pingback: Important Concept for physical design in VLSI | siliconvlsi

  261. Pingback: Difference Between Clock Skew and Uncertainty | siliconvlsi

  262. Pingback: What is useful skew, local skew and global skew? | siliconvlsi

  263. Pingback: How to fix Dynamic IR drop? | siliconvlsi

  264. Pingback: Two Transistor Model of SCR | siliconvlsi

  265. Pingback: Latch-Up Prevention Techniques | siliconvlsi

  266. Pingback: Analog Layout Design Mastery: Expert Tips and Tricks | siliconvlsi

  267. Pingback: How to Find the Setup for Flip-Flop | siliconvlsi

  268. Pingback: Digital Electronics | siliconvlsi

  269. Pingback: 10 Ways To Fix Setup and Hold Time Violations | siliconvlsi

  270. Pingback: Solenoid Valve Problems and Solutions | siliconvlsi

  271. Pingback: Power Gain and Voltage Gain in dB | siliconvlsi

  272. Pingback: What is Skin Effect? Factors affecting skin effect | siliconvlsi

  273. Pingback: What is Lightning Arrester? | siliconvlsi

  274. Pingback: Types of Lightning Arrester | siliconvlsi

  275. Pingback: Built-In Self-Test (BIST) Techniques for CMOS circuits | Siliconvlsi

  276. Pingback: Maximizing Circuit Performance with Effective Clock Skew | Siliconvlsi

  277. Pingback: SR Flip Flop | Siliconvlsi

  278. Pingback: Ripple Carry Adder | Siliconvlsi

  279. Pingback: Difference between CPLD and FPGA | Siliconvlsi

  280. Pingback: Scan-Based Techniques | Siliconvlsi

  281. Pingback: Via Doubling in CMOS | Siliconvlsi

Leave A Reply

error: Content is protected !!